电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

CWR26HK336JCFC

产品描述CAPACITOR, TANTALUM, SOLID
产品类别无源元件    电容器   
文件大小312KB,共19页
制造商Vishay(威世)
官网地址http://www.vishay.com
下载文档 详细参数 全文预览

CWR26HK336JCFC概述

CAPACITOR, TANTALUM, SOLID

CWR26HK336JCFC规格参数

参数名称属性值
是否无铅含铅
是否Rohs认证不符合
Objectid1312860287
包装说明, 2214
Reach Compliance Codeunknown
Country Of OriginIsrael
ECCN代码EAR99
Factory Lead Time17 weeks
YTEOL5.4
其他特性ESR IS MEASURED AT 100 KHZ
电容33 µF
电容器类型TANTALUM CAPACITOR
介电材料TANTALUM (DRY/SOLID)
ESR800 mΩ
高度1.78 mm
JESD-609代码e0
漏电流0.005 mA
长度5.59 mm
安装特点SURFACE MOUNT
负容差5%
端子数量2
最高工作温度125 °C
最低工作温度-55 °C
封装形式SMT
包装方法Tray
极性POLARIZED
正容差5%
额定(直流)电压(URdc)15 V
参考标准MIL-PRF-55365/13
尺寸代码2214
表面贴装YES
Delta切线0.06
端子面层Tin/Lead (Sn/Pb) - hot dipped
端子形状WRAPAROUND
宽度3.43 mm
变频器的工作原理
主电路是给异步电动机提供调压调频电源的电力变换部分,变频器的主电路大体上可分为两类:电压型是将电压源的直流变换为交流的变频器,直流回路的滤波是电容。电流型是将电流源的直流变换为交流的变频器,其直流回路滤波是电感。它由三部分构成,将工频电源变换为直流功率的“整流器”,吸收在变流器和逆变器产生的电压脉动的“平波回路”,以及将直流功率变换为交流功率的“逆变器”。   (1)整流器:最近大量使用的是二极管...
comeon365 DIY/开源硬件专区
学模拟+时钟抖动使随机抖动和相位噪声不再神秘
[i=s] 本帖最后由 dontium 于 2015-1-23 11:46 编辑 [/i]时钟抖动使随机抖动和相位噪声确实是一直困扰我的问题,对于这方面问题我也查过很多资料,一直无法解决。阅读了时钟抖动使随机抖动和相位噪声不再神秘的博文我有了新的认识。这篇博文确实很有深度,从不一样的方面分析了这两个问题。文中还有图像,很形象,我觉得真的很不错,是你值得停留下来阅读的好文章。[url]deyisup...
超爱洁 模拟与混合信号
综合时为何总提示Input XXX is unused呢?
[code]library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity save isport(frame:in std_logic;--高电平时,存储wrsig:in std_logic;--上升沿时,到来一个字节的数据datain:in std_logic_vector(7 downto 0))...
pinggougou FPGA/CPLD
富士通MB95560 95F564K实现16位 10kHZ频率PWM
最近看一个应用,用95F564K内部震荡器,做到16位15kHz频率的PWM,需要15kHz*65536=983040=983.04MHz主频,这怎么可以能。请问有朋友能知道怎么做吗?...
iawellll 单片机
PCB中添加网络只有手动一种方法吗?
没有与原理图,直接画的PCB,所以焊盘上都没有网络,想要添加网络,除了用Netlist Manager一个个的编辑添加之外,还有没有更快捷的方法?...
lhhuan PCB设计
使用KEIL加入自己的头文件出错,求助啊
学习单片机C语言,现在尝试模块化编程的学习,把一个简单的LED灯的程序中的DELAY函数抽出来当作一个独立模块,出错N次,尝试了N+1次后仍然不得其解,看来教学视频也没看出来哪有差别,求助高手指点迷津啊#include reg51.h #include intrins.hsbit LS138A=P2^2; sbit LS138B=P2^3;sbit LS138C=P2^4; sbit LED8_1...
怕碎的瓶子 51单片机

开源项目推荐更多

技术资料推荐更多

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 244  407  850  970  1287 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved