电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

TMCP0G476MTRF

产品描述Tantalum Capacitors - Solid SMD 4volts 47uF 20% Molded Case, 0805
产品类别无源元件   
文件大小241KB,共12页
制造商Vishay(威世)
官网地址http://www.vishay.com
标准
下载文档 详细参数 全文预览

TMCP0G476MTRF在线购买

供应商 器件名称 价格 最低购买 库存  
TMCP0G476MTRF - - 点击查看 点击购买

TMCP0G476MTRF概述

Tantalum Capacitors - Solid SMD 4volts 47uF 20% Molded Case, 0805

TMCP0G476MTRF规格参数

参数名称属性值
Product AttributeAttribute Value
制造商
Manufacturer
Vishay(威世)
产品种类
Product Category
Tantalum Capacitors - Solid SMD
RoHSDetails
电容
Capacitance
47 uF
电压额定值 DC
Voltage Rating DC
4 VDC
容差
Tolerance
20 %
ESR6 Ohms
外壳代码 - in
Case Code - in
0805
外壳代码 - mm
Case Code - mm
2012
高度
Height
1.2 mm
制造商库存号
Mfr Case Code
P Case
最小工作温度
Minimum Operating Temperature
- 55 C
最大工作温度
Maximum Operating Temperature
+ 125 C
系列
Packaging
Cut Tape
系列
Packaging
MouseReel
系列
Packaging
Reel
长度
Length
2 mm
封装 / 箱体
Package / Case
0805 (2012 metric)
产品
Product
Tantalum Solid Surface Mounts
端接类型
Termination Style
SMD/SMT
宽度
Width
1.25 mm
漏泄电流
Leakage Current
18.8 uA
损耗因数 DF
Dissipation Factor DF
30 %
纹波电流
Ripple Current
0.103 A
工厂包装数量
Factory Pack Quantity
3000
单位重量
Unit Weight
0.000194 oz
进行一次for循环需要多长时间?
用的是 mbed LPC1768例如进行如下的操作for(int i=0;i<1;i++)循环一次要用多长时间呢?...
NickYoungYoung ARM技术
树莓派[Raspberry Pi 2 Model B]测评——by freebsder
[url=home.php?mod=space&uid=551466]@freebsder[/url]树莓派[Raspberry Pi 2 Model B]测评(一)普世情怀的商业胜利树莓派[Raspberry Pi 2 Model B]测评(二)树莓派2B的硬实力树莓派[Raspberry Pi 2 Model B]测评(三)树莓派2B的软实力...
okhxyyo DIY/开源硬件专区
关于VHDL进程的问题
entity Mux_4 isport(input1,input2,input3,input4:in std_logic;mux_1,mux_2: in std_logic;mux_outut std_logic);end Mux_4;architecture Behavioral of Mux_4 issignal sel : std_logic_vector(1 downto 0);begin...
eeleader FPGA/CPLD
DS18B20的编程问题(有几句不理解)
谢谢大家的解答!我参考高手的DS18B20程序,确实可以用,但是有几句不太理解,请大家指导:(程序节选)const float wendu[6]={0,0.5,10.125,25.0625,85,125}; //温度表格const float celiang[6]={0,0x08,0xa2,0x0191,0x550,0x7d0}; //测量得到的结果请问上面这些有什么用?void Read_Tem...
heyi000 微控制器 MCU
2650 可见光led通讯
刚接触这块板子,之前一直学习中,做了一个光电心率传手机现在想做可见光通信,把一颗3w的led 闪烁频率用rs编码,接收端用光电倍增管。有这方面的大神点播一下吗?...
zynone RF/无线
关于dsp初始化的问题,求助
[i=s] 本帖最后由 dontium 于 2015-1-23 13:26 编辑 [/i]------------------------------LED.pjt - Debug------------------------------[Delay.c] \c:\\ti\\c5500\\cgtools\\bin\\cl55\ -g -q -fr\c:/icetek/vc5509as61/min...
cewei30mkk 模拟与混合信号

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 112  399  677  1438  1490 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved