电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

ADS574KEG4

产品描述Analog to Digital Converters - ADC Microproc-Comp Sampling CMOS ADC
产品类别模拟混合信号IC    转换器   
文件大小316KB,共16页
制造商Texas Instruments(德州仪器)
官网地址http://www.ti.com.cn/
标准
敬请期待 详细参数 选型对比

ADS574KEG4在线购买

供应商 器件名称 价格 最低购买 库存  
ADS574KEG4 - - 点击查看 点击购买

ADS574KEG4概述

Analog to Digital Converters - ADC Microproc-Comp Sampling CMOS ADC

ADS574KEG4规格参数

参数名称属性值
是否无铅不含铅
是否Rohs认证符合
零件包装代码DIP
包装说明DIP, DIP28,.3
针数28
Reach Compliance Codeunknown
ECCN代码EAR99
最大模拟输入电压10 V
最小模拟输入电压-10 V
最长转换时间25 µs
转换器类型ADC, SUCCESSIVE APPROXIMATION
JESD-30 代码R-PDIP-T28
JESD-609代码e4
长度35.69 mm
最大线性误差 (EL)0.0244%
标称负供电电压-15 V
模拟输入通道数量1
位数12
功能数量1
端子数量28
最高工作温度70 °C
最低工作温度
输出位码BINARY, OFFSET BINARY
输出格式PARALLEL, WORD
封装主体材料PLASTIC/EPOXY
封装代码DIP
封装等效代码DIP28,.3
封装形状RECTANGULAR
封装形式IN-LINE
峰值回流温度(摄氏度)NOT SPECIFIED
电源5,5/-15 V
认证状态Not Qualified
采样速率0.04 MHz
采样并保持/跟踪并保持SAMPLE
座面最大高度5.08 mm
标称供电电压5 V
表面贴装NO
技术CMOS
温度等级COMMERCIAL
端子面层Nickel/Palladium/Gold (Ni/Pd/Au)
端子形式THROUGH-HOLE
端子节距2.54 mm
端子位置DUAL
处于峰值回流温度下的最长时间NOT SPECIFIED
宽度7.62 mm
Base Number Matches1

ADS574KEG4相似产品对比

ADS574KEG4
描述 Analog to Digital Converters - ADC Microproc-Comp Sampling CMOS ADC
是否无铅 不含铅
是否Rohs认证 符合
零件包装代码 DIP
包装说明 DIP, DIP28,.3
针数 28
Reach Compliance Code unknown
ECCN代码 EAR99
最大模拟输入电压 10 V
最小模拟输入电压 -10 V
最长转换时间 25 µs
转换器类型 ADC, SUCCESSIVE APPROXIMATION
JESD-30 代码 R-PDIP-T28
JESD-609代码 e4
长度 35.69 mm
最大线性误差 (EL) 0.0244%
标称负供电电压 -15 V
模拟输入通道数量 1
位数 12
功能数量 1
端子数量 28
最高工作温度 70 °C
输出位码 BINARY, OFFSET BINARY
输出格式 PARALLEL, WORD
封装主体材料 PLASTIC/EPOXY
封装代码 DIP
封装等效代码 DIP28,.3
封装形状 RECTANGULAR
封装形式 IN-LINE
峰值回流温度(摄氏度) NOT SPECIFIED
电源 5,5/-15 V
认证状态 Not Qualified
采样速率 0.04 MHz
采样并保持/跟踪并保持 SAMPLE
座面最大高度 5.08 mm
标称供电电压 5 V
表面贴装 NO
技术 CMOS
温度等级 COMMERCIAL
端子面层 Nickel/Palladium/Gold (Ni/Pd/Au)
端子形式 THROUGH-HOLE
端子节距 2.54 mm
端子位置 DUAL
处于峰值回流温度下的最长时间 NOT SPECIFIED
宽度 7.62 mm
Base Number Matches 1
RISC-V SoC FPGA架构为Linux带来了实时性
[align=left]Microchip[font=宋体]通过其[/font][font=Calibri]Microsemi Corporation[/font][font=宋体]子公司宣布推出新型[/font][font=Calibri]SoC FPGA[/font][font=宋体]架构,扩展其[/font][font=Calibri]Mi-V[/font][font=宋体]生态系统。新系列...
朗锐智科 Linux与安卓
HCS12 分页区常量该如何读取呢?
[font=Times New Roman][size=4][color=blue]硬件平台:MC9S12HY64开发环境: CODEWARRIOR V5.0编译模式是 BANK模式,代码如下:constUINT8__farfardata @0xe8000 = 0xaa;//分页区的数据constUINT8 *__farfarpt;//指向分页区的指针UINT8a;void read_far(vo...
liufan NXP MCU
简单的VHDL小语法问题
我定义了SRAM_DATA1: inout std_logic_vector(15 downto 0);r: std_logic_vector(7 downto 0)将SRAM_DATA1的前八位赋值给r,请问VHDL格式怎么写?需要代码....
kfchu 嵌入式系统
1965年的泰克示波器TYPE453 带你回到遥远的过去
[i=s] 本帖最后由 ylyfxzsx 于 2021-9-9 11:52 编辑 [/i]1965年的泰克示波器TYPE453,带你回到遥远的过去,它还能开机,正常使用的额。...
ylyfxzsx 以拆会友
cd4046频率与9脚电压的线性化问题
如上图,用3.3v给cd4046供电,通过变阻器调节9脚电压。先进行V/F转换,4脚输出3khz频率时,9脚电压是2.08v,然后输出频率接F/V电路的14脚,怎么测得9脚电压就不是2.08v了。而且4脚电压一直是vdd/2.。。求大神解答...
苏格拉鹏 模拟电子

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 267  1221  1224  1702  1703 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved