电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

MS3472W18-11BY

产品描述circular mil spec connector 11p SZ 18 recpt flange mount socket
产品类别连接器   
文件大小1MB,共7页
制造商All Sensors
下载文档 详细参数 全文预览

MS3472W18-11BY在线购买

供应商 器件名称 价格 最低购买 库存  
MS3472W18-11BY - - 点击查看 点击购买

MS3472W18-11BY概述

circular mil spec connector 11p SZ 18 recpt flange mount socket

MS3472W18-11BY规格参数

参数名称属性值
ManufactureTE Connectivity
产品种类
Product Category
Circular MIL Spec Connec
RoHSN
MIL 类型
MIL Type
MIL-DTL-26482
外壳大小
Shell Size
18
Contact GendeSocket (Female)
位置数量
Number of Positions
11
触点材料
Contact Material
Copper Alloy
主体材料
Contact Plating
Gold
端接类型
Termination Style
Crim
Ingress ProtectiIP 67
匹配样式
Mating Style
Threaded
安装角
Mounting Angle
Straigh
外壳材质
Shell Material
Aluminum Alloy
外壳电镀
Shell Plating
Nickel
电压额定值
Voltage Rating
600 V
为什么要搞下载需要积分这种东东呢?
为什么要搞下载需要积分这种东东呢?我知道发了这个贴后肯定会得罪很多人但还是忍不住要发。除非下载的文档是你自己的作品,干吗要要求下载积分呢?这样做看来就跟卖盗版光碟收钱没两样。如果楼主们能像宗教教徒复印经书,拷贝教义CD/DVD送人那样, 那样不是更好吗?要下载没积分就像穷人家孩子没钱买书那样惨,你们忍心吗? {:1_85:}...
itai 聊聊、笑笑、闹闹
求助关于ucos-ii移植的问题
最近刚学习ucos-ii, 想要把ucos-ii移植到mpc8247,不知道有没有谁做过相关的实验,能否提供个大概的步骤,谢谢!...
ghostxavier 实时操作系统RTOS
能用查询调制解调器得到at返回信息,却不能用串口工具得到
是我用windows的查询调制解调器 得到的结果但我用了很多很多调试工具,发送at+gmm,却没有得到任何返回串口速度 230400数据协议 标准ec压缩 已启用数据流控制 硬件数据位 8奇偶校验 无停止位 1调制 标准型8-08-2007 17:05:35.687 - 文件:C:\WINDOWS.0\system32\tapisrv.dll,版本 5.1.260008-08-2007 17:05...
eddie7434 嵌入式系统
【求助】怎么采集脉冲信号?
我用的是MSP430F1121,比如通过P2.5口采集10KHZ脉冲,怎么实现。用P口中断唤醒主程序的话,主要是计数部分我不太明白。谁给个程序?以前都做开关量和模拟量输入,脉冲的没做过...
kpbearmo 微控制器 MCU
EEWORLD大学堂----计算机视觉课程 清华大学 王明哲
计算机视觉课程 清华大学 王明哲:https://training.eeworld.com.cn/course/5320本课程为青年AI自强项目-计算机视觉课程,主要的组织者、演讲者、参与者全部以学生为主,为同学们解决实际问题:弥补“技术小白”与“老师觉得你懂”之间的鸿沟、弥补“课本”到“实践”的鸿沟、解决一般的学术文章/资源不易读的问题。本课程主要由8次讲座以及1个转化挑战任务组成,讲座每隔一周举...
木犯001号 综合技术交流
第一次搞FIFO,数据貌似写不进去,求助Ing
SOPC BUILDER定制了个8位256深度的FIFO,综合能通过,但仿真没成功,各位高手看看呗,给点意见library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity control is port(clk : in std_logic;wr...
jan_von FPGA/CPLD

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 48  392  712  797  1618 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved