电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

DCP022405U

产品描述Miniature, 2W Isolated Unregulated DC/DC Converters 12-SOP -40 to 85
产品类别电源/电源管理    电源电路   
文件大小2MB,共33页
制造商Diodes Incorporated
标准
下载文档 详细参数 全文预览

DCP022405U在线购买

供应商 器件名称 价格 最低购买 库存  
DCP022405U - - 点击查看 点击购买

DCP022405U概述

Miniature, 2W Isolated Unregulated DC/DC Converters 12-SOP -40 to 85

DCP022405U规格参数

参数名称属性值
Brand NameTexas Instruments
是否无铅不含铅
是否Rohs认证符合
厂商名称Diodes Incorporated
零件包装代码MODULE
包装说明SOP-28/12
针数28/12
Reach Compliance Codecompliant
ECCN代码EAR99
Factory Lead Time6 weeks
Samacsys Confidence4
Samacsys StatusReleased
Samacsys PartID558565
Samacsys Pin Count12
Samacsys Part CategoryUndefined or Miscellaneous
Samacsys Package CategoryOther
Samacsys Footprint NameDVB0012A
Samacsys Released Date2017-11-26 20:29:18
Is SamacsysN
模拟集成电路 - 其他类型DC-DC UNREGULATED POWER SUPPLY MODULE
认证UL
控制模式VOLTAGE
效率(主输出)81%
最大输入电压26.4 V
最小输入电压21.6 V
标称输入电压24 V
JESD-30 代码R-PDSO-G12
JESD-609代码e4
长度17.9 mm
最大负载调整率15%
湿度敏感等级3
功能数量1
输出次数1
端子数量12
最高工作温度85 °C
最低工作温度-40 °C
最大输出电流0.4 A
最大输出电压5.35 V
最小输出电压4.85 V
标称输出电压5 V
封装主体材料PLASTIC/EPOXY
封装代码SOP
封装等效代码SOP12/28,.4
封装形状RECTANGULAR
封装形式SMALL OUTLINE
峰值回流温度(摄氏度)260
认证状态Not Qualified
纹波电压(主输出)0.007 Vrms
座面最大高度2.3 mm
表面贴装YES
技术HYBRID
温度等级INDUSTRIAL
端子面层Nickel/Palladium/Gold (Ni/Pd/Au)
端子形式GULL WING
端子节距1.27 mm
端子位置DUAL
处于峰值回流温度下的最长时间NOT SPECIFIED
最大总功率输出2 W
微调/可调输出NO
宽度7.5 mm
Base Number Matches1
两款直流开关电源设计分析
简易型直流开关电源     由TEA1520系列构成的简易型直流开关电源电路如图1所示。[color=black]                  图1由TEA1520系列构成简易型直流开关电源的电路[/color][color=black]   ...
七月七日晴 电源技术
这是我写的lcd1602液晶显示的数字钟,编译没错,但不能显示,请大...
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity LCD3 isport(clk: in std_logic;en,rs,rw:out std_logic;data:out std_logic_vector(7 downto 0))...
yx571371942 嵌入式系统
程序列表
最近想做一个程序列表,就是显示当前正在运行的所有应用程序列表(不是进程),但不知道怎么获取,有没有直接获取的?进程我知道怎么获取。谢谢大家提供信息。...
jerryzheng 嵌入式系统
在dll里用了这个代码,g_hInst,videownd是从外部传过来的. IDD_CAMERA_DIALOG是dll里的资源,这样为什么不行?如果把IDD_
在dll里用了这个代码,g_hInst,videownd是从外部传过来的.IDD_CAMERA_DIALOG是dll里的资源,这样为什么不行?如果把IDD_CAMERA_DIALOG也从外部传进来就可以.DialogBoxParam(g_hInst, MAKEINTRESOURCE( IDD_CAMERA_DIALOG ),videownd, (DLGPROC) AboutCallBack, NU...
cd001 嵌入式系统
硬件工程师的设计之路
难得休息两天,回到工作,第一件事情是给媳妇帮忙翻译论文,而手头上的事情虽然充满着挑战,当总体还是应付的过来。由于很长一段的时间都是直接给国外做支持工作,对比国内项目的管理,让我生出了一种感受,同样的方法,同样的流程,到了我们这里一样成为南橘北枳。几乎我知道的制造业的公司都采用矩阵化管理,由部门经理和项目经理共同管理工程师团队,一般将硬件,系统,软件,测试,结构等工程师,从部门经理管理人员,评估,整...
eeleader 工作这点儿事
电梯的RFID控制
[i=s] 本帖最后由 dontium 于 2015-1-23 13:18 编辑 [/i]RFID的与电梯的控制与运行是否有比较好的解决方案求高手支招...
wodejiushinide 模拟与混合信号

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 273  1027  1236  1338  1509 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved