电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

AS6C4008-55BIN

产品描述sram 4M, 2.7-5.5V, 55ns 512k x 8 asynch sram
产品类别半导体    其他集成电路(IC)   
文件大小513KB,共14页
制造商All Sensors
标准  
下载文档 详细参数 选型对比 全文预览

AS6C4008-55BIN在线购买

供应商 器件名称 价格 最低购买 库存  
AS6C4008-55BIN - - 点击查看 点击购买

AS6C4008-55BIN概述

sram 4M, 2.7-5.5V, 55ns 512k x 8 asynch sram

AS6C4008-55BIN规格参数

参数名称属性值
ManufactureAlliance Memory
产品种类
Product Category
SRAM
RoHSYes
Memory Size4 Mbi
Organizati512 k x 8
工厂包装数量
Factory Pack Quantity
480

AS6C4008-55BIN相似产品对比

AS6C4008-55BIN AS6C4008-55BINTR AS6C4008-55ZINTR AS6C4008-55STINR AS6C4008-55TINTR AS6C4008-55SINTR
描述 sram 4M, 2.7-5.5V, 55ns 512k x 8 asynch sram sram 4M, 2.7-5.5V, 55ns 512k x 8 asynch sram sram 4M, 2.7-5.5V, 55ns 512k x 8 asynch sram sram 4M, 2.7-5.5V, 55ns 512k x 8 asynch sram sram 4M, 2.7-5.5V, 55ns 512k x 8 asynch sram sram 4M, 2.7-5.5V, 55ns 512k x 8 asynch sram
Manufacture Alliance Memory Alliance Memory Alliance Memory Alliance Memory Alliance Memory Alliance Memory
产品种类
Product Category
SRAM SRAM SRAM SRAM SRAM SRAM
RoHS Yes Yes Yes Yes Yes Yes
Memory Size 4 Mbi 4 Mbi 4 Mbi 4 Mbi 4 Mbi 4 Mbi
Organizati 512 k x 8 512 k x 8 512 k x 8 512 k x 8 512 k x 8 512 k x 8
工厂包装数量
Factory Pack Quantity
480 2000 1000 1500 1500 1000
系列
Packaging
- Reel Reel Reel Reel Reel
版主和想成为版主的你,请收下这份“版主攻略”
小编寄言:有人说论坛就是一个小社区,那版主们就像是这个社区里的物业,居委会,尽自己的努力支持一般网友的正常社区活动;有人说论坛像一个学校,那版主们就像是学校里的各科老师,用自己的学识和力量来答疑解惑,帮忙其他人提升自我技术水平!感谢有这么一群兢兢业业的版主,有你们很重要!感谢你们让EEWorld变得更好!同时,秉着不让雷锋吃亏,所有的付出都应该被知道并可以有所回馈,所以,EEWorld对版主规定进...
okhxyyo 为我们提建议&公告
FPGA菜鸟求助。。。请各位大侠帮忙
我用了Altera的EP1C6Q240C8的一块学习板,自己用VHDL编了个小程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt is port (clk,rst:in std_logic; count:out std_logic);end entity;architectur...
xiumugengmu FPGA/CPLD
AT89C52怎么下载程序?
有个问题~我的AT89c52怎么下载程序啊?本人学生,没那么多钱买编程器啊,毕竟因为上次第一次买芯片,没问清楚就买了 ~~~o(︶︿︶)o 唉网上搜了一大通,但是没找到具体的符合我目前要求的,想要串口下载,9针的~~可惜没找到啊...
xin2893 51单片机
三个按键和三个指示灯,分别是什么功能?
STBOX有三个按键和三个指示灯,分别是什么功能?有资料么?在哪查?...
lanhua ST MEMS传感器创意设计大赛专区
求教,28027,28035 一个ePWM周期,触发单个端口,多次转换!
大家好,我碰到一个问题是ePWM触发ADC转换的,TI的例子有一个EPWM周期确发两个不同端口ADC转换,而我现在需要触发10个以上单端口转换,而且转换是周期性的取样,需要在下个ePWM触发时,转换完成请问一下寄存器要如何设置,能提供个思路吗?谢谢...
bbontime 微控制器 MCU
CCS6.0.0.00190_win32下载地址
[url]http://pan.baidu.com/s/1kTksbtl[/url]...
clls2080000 微控制器 MCU

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 23  107  1041  1136  1176 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved