电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

14-905-22

产品描述Board Connector, 16 Contact(s), 2 Row(s), Male, Straight, 0.1 inch Pitch, Solder Terminal, Receptacle
产品类别连接器    连接器   
文件大小179KB,共1页
制造商Aries Electronics
下载文档 详细参数 全文预览

14-905-22概述

Board Connector, 16 Contact(s), 2 Row(s), Male, Straight, 0.1 inch Pitch, Solder Terminal, Receptacle

14-905-22规格参数

参数名称属性值
厂商名称Aries Electronics
Reach Compliance Codecompliant
ECCN代码EAR99
其他特性SQUARESTIX
主体宽度0.2 inch
主体深度0.1 inch
主体长度1.4 inch
主体/外壳类型RECEPTACLE
连接器类型BOARD CONNECTOR
联系完成配合GOLD (10) OVER NICKEL (50)
联系完成终止TIN (100) OVER NICKEL (50)
触点性别MALE
触点材料PHOSPHOR BRONZE
触点模式RECTANGULAR
触点样式SQ PIN-SKT
DIN 符合性NO
滤波功能NO
IEC 符合性NO
绝缘体材料GLASS FILLED THERMOPLASTIC
JESD-609代码e3
MIL 符合性NO
制造商序列号905
插接触点节距0.1 inch
匹配触点行间距0.1 inch
混合触点NO
安装方式STRAIGHT
安装类型BOARD
连接器数ONE
PCB行数2
装载的行数2
选件GENERAL PURPOSE
PCB接触模式RECTANGULAR
PCB触点行间距2.54 mm
电镀厚度10u inch
参考标准UL
可靠性COMMERCIAL
端子长度0.5 inch
端子节距2.54 mm
端接类型SOLDER
触点总数16
UL 易燃性代码94V-0
永不停息的红舞步(二)-2
[align=left][color=rgb(0, 0, 0)][font=punctuation, 微软雅黑, Tohoma] 我很庆幸,2014年,我遇到了仿佛冥冥中注定的“取经人”,开始了让自己甘愿为它付出一切的事业,也就是我们现在在做的智能健康监测。回顾以前,一直到现在,我想可以结合我做的事情介绍一下我对电子这个行业发生的一些情感变化。[/font][/color][/align][ali...
zjd01 工作这点儿事
万利的和英蓓特的STM32开发板哪个适合上手
感觉用万利的人要多好多,但是我比较熟悉keil环境,两者的价格都是199,...
losng stm32/stm8
关于stm32的I2C不得不说的事...
前些天赶时间用硬的I2c1驱动a,b,c.a和b可以通信,就c不行,而b和c只是数据的定义不同,其它的都相同,碰到这个问题调试了几个小时,还是没调通,反而烧了硬件I2c(SDA始终是高电平),痛苦.不得已,只好用模拟的,调通了.今天正好有点空,想想有硬的不用,太不甘心了.于是冒着生命危险:),继续用I2C2,而,又出现了预想不到的问题:c通信4次后通信第5次卡在了/*TestonEV5andcle...
ssssssss stm32/stm8
隔离DAC/ADC有哪些选择?APC&PAC芯片
隔离DAC/ADC有哪些选择?APCPAC芯片模拟信号隔离是电动汽车、工业控制、PLC、电机驱动、电源、逆变器等领域的常用技术,常规的解决方案有线性光耦、隔离运放等,不过价格上略偏高昂、电路也较为复杂,有些精度也不够,总体来说,应用中不算太友好。为了获得更低成本的解决方案,大多数工程师会选择PWM来实现模拟信号的隔离传输。比如下图:这种解决方案分为三步:第一、将PWM信号经过光耦隔离后输出PWM_...
zjqmyron 模拟电子
怎么实现任务栏在顶部显示
wince 5.0 怎么让任务栏在顶部显示,就像smartphone中的那样,显示在顶部而不是底端...
zuoyuntian 嵌入式系统
如何消除组合电路的毛刺?
组合电路是不可避免毛刺的,若要消除毛刺可在具体的电路中加个锁存器,不过你的程序本身就不规范,送你一个程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;ENTITY add4_v ISPORT(sub:IN std_logic; A, B:INSTD_LOGIC_VECTOR(3 downto 0)...
1234 FPGA/CPLD

技术资料推荐更多

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 856  958  1003  1425  1703 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved