电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

TPSV687M006Y0040

产品描述CAPACITOR, TANTALUM, SOLID
产品类别无源元件    电容器   
文件大小133KB,共6页
制造商Kyocera(京瓷)
下载文档 详细参数 全文预览

TPSV687M006Y0040概述

CAPACITOR, TANTALUM, SOLID

TPSV687M006Y0040规格参数

参数名称属性值
厂商名称Kyocera(京瓷)
包装说明,
Reach Compliance Codeunknow
ECCN代码EAR99
电容器类型TANTALUM CAPACITOR
介电材料TANTALUM (DRY/SOLID)
制造商序列号TPS
端子数量2
wince相关display测试相关问题(HELP)
我是一名初学者,在学WINCE的相关测试,所以最近在看WINCE的各个模块的测试代码,找不着人问,希望哪位好心人帮我解决一下问题啊,多谢谢谢谢谢谢啦.1.将WINCE500\PRIVATE\TEST\GWES\GDI\GDIAPI下的这个测试GDITEST代码,用PB放在编译,出现以下错误:BUILD: [01:0000000087:ERRORE] NMAKE :U1073: don't know...
ajzhumin WindowsCE
串口光耦隔离电路
两块51之间串口通讯,使用光耦隔离这样可以吗,是否还需要加三极管驱动?...
吴下阿蒙 51单片机
7寸上网本wince5.0系统的输入法
小弟的输入法切换不出来了,原来是用ctrl+space切换的,现在没法切换,只能输英文,求DX帮忙。系统默认的是微软拼音3.0和US,两个之间切换可用alt+shift,但切换到微软拼音无法弹出横条,只能输英文。。。。。求注册表具体设置,或恢复注册表原厂设定。我已经找了几个星期了,求大侠帮忙。输入法安装包我试过了,但我的机器居然没重启功能,安装软件后提示重启,一点就死机了,只能强制关机,提示重启的...
筱凡天下 WindowsCE
函数信号发生器设计的思想与实现
函数信号发生器设计目的  为进一步掌握电路的基本理论及实验调试技术,熟练应用所学的电路设计原理知识,根据各种电子元件设计一个能够可以产生多种波形的函数信号发生器。  函数信号发生器设计原理框图如下所示:  函数信号发生器设计的原理框图  函数信号发生器设计实现方法  (1)用分立元件组成的函数发生器:通常是单函数发生器且频率不高,其工作不很稳定,不易调试。  (2)可以由晶体管、运放IC等通用器件...
sairvee 测试/测量
谁能说说笔记本上的Fn+Fx的实现么
我只知道Fn+Fx会生成一个Scancode,但是如果ScanCode在不同键盘下是同定义的,如何才能知道按下Fn+Fx是发送了哪个ScanCode?环境:XP Sp2/Vista Sp1 English ,Visual Studio 2008机器:Thinkpad备注:查过很多资料,用Hook 的方式是抓不到Message(比如安装c++ Spy) 的,一般都安装有一个HotKey driver...
rhpozyz 嵌入式系统
汉字滚动的程序--显示出错在8*8的点阵中但是显示的是4个字,而且第四个字未知
--8*8点阵扫描控制模块--汉字滚动显示library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity led_88 isport(clkin,resetin:in std_logic;--时钟,复位信号输入line:out std_logic...
zixuancpld FPGA/CPLD

技术资料推荐更多

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 10  167  299  839  1475 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved