电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

SC100M220A2FQ1330

产品描述Aluminum Electrolytic Capacitor, Polarized, Aluminum (wet), 100V, 20% +Tol, 20% -Tol, 220uF, Through Hole Mount, ROHS COMPLIANT
产品类别无源元件    电容器   
文件大小66KB,共3页
制造商YAGEO(国巨)
官网地址http://www.yageo.com/
标准  
下载文档 详细参数 全文预览

SC100M220A2FQ1330概述

Aluminum Electrolytic Capacitor, Polarized, Aluminum (wet), 100V, 20% +Tol, 20% -Tol, 220uF, Through Hole Mount, ROHS COMPLIANT

SC100M220A2FQ1330规格参数

参数名称属性值
是否无铅不含铅
是否Rohs认证符合
厂商名称YAGEO(国巨)
包装说明,
Reach Compliance Codecompliant
ECCN代码EAR99
电容220 µF
电容器类型ALUMINUM ELECTROLYTIC CAPACITOR
介电材料ALUMINUM (WET)
ESR80 mΩ
漏电流0.22 mA
制造商序列号SC
安装特点THROUGH HOLE MOUNT
负容差20%
端子数量2
最高工作温度105 °C
最低工作温度-40 °C
封装形状CYLINDRICAL PACKAGE
包装方法TAPE
极性POLARIZED
正容差20%
额定(直流)电压(URdc)100 V
纹波电流905 mA
表面贴装NO
Delta切线0.07
端子形状WIRE
谁知道怎样去修改Linux的vi编辑器的编码方式啊
来自EEWORLD合作群:arm linux fpga 嵌入0(49900581) 群主:wangkj[/url]同志们好啊,谁知道怎样去修改Linux的vi编辑器的编码方式啊,其中使用local导入使用zh_CN设置为GBK不可以,设置i18N的时候,i18N文件为只读?怎么办啊,vi编辑器一直乱码?在网上搜索了很多的解决方法都没有设置成功,请求有志之士给予帮助vi编辑器图形界面可以,在vi命令...
天天天蓝 测试/测量
求大神帮个忙
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dian isport(clk,d:in std_logic;led7s:out std_logic_vector(7 downto 0);led7x:out std_logic_vector(7 downto 0));end dian;a...
q85669031 FPGA/CPLD
EEWORLD大学堂----直播回放: 助力 '双碳' - ADI智能工厂方案
直播回放: 助力 '双碳' - ADI智能工厂方案:https://training.eeworld.com.cn/course/6169...
hi5 综合技术交流
最“搞”头像评选——和EEWORLD一起high!
[font=楷体_GB2312][size=6][color=red][b]票选EEWORLD最“搞”头像![/b][/color][/size][/font]活动时间:2月1日~2月28日活动内容:1、网友在本贴跟帖:以图片格式,推荐自己或者其他网友的头像,所提名的头像可以是好玩、搞笑、印象深刻、过目不忘,别具意义等。2、在3月初,由EEWORLD社区2009年度明星版主评选出最强ID。[b]所...
EEWORLD社区 聊聊、笑笑、闹闹
pyboardCN V2的进一步讨论
因为最近事情实在太多,在加上部分功能没有完全考虑好,所以pyboardCN V2的事情推迟了一段时间。下周后时间上应该会充裕一点,可以开始启动设计了。目前的几个想法,希望和大家一起讨论:[list=1][*][b]口袋工具[/b],板子做的比较小巧,可以随身携带,在需要的时候随时可以拿出来使用。板子带有锂电池接口,可以通过USB充电。[*][b]使用方便[/b],预先设置好多种软件包,根据需要下载...
dcexpert MicroPython开源版块
关于快速排序qsort函数测试
#includestdio.h#includestdlib.hint cmp(const void *p, const void *q);int cmp(const void *p, const void *q){ return(*(int*)p - *(int*)q);}intmain(int argc, char **argv){ int i =0; int a[5] = {8,6,3,4,5...
wuquan-1230 Linux与安卓

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 87  829  1064  1221  1578 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved