电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

IDT74ALVCH16334PF

产品描述Bus Driver, ALVC/VCX/A Series, 1-Func, 16-Bit, True Output, CMOS, PDSO48, 0.40 MM PITCH, TVSOP-48
产品类别逻辑    逻辑   
文件大小104KB,共7页
制造商IDT (Integrated Device Technology)
下载文档 详细参数 选型对比 全文预览

IDT74ALVCH16334PF概述

Bus Driver, ALVC/VCX/A Series, 1-Func, 16-Bit, True Output, CMOS, PDSO48, 0.40 MM PITCH, TVSOP-48

IDT74ALVCH16334PF规格参数

参数名称属性值
是否Rohs认证不符合
厂商名称IDT (Integrated Device Technology)
零件包装代码SOIC
包装说明TSSOP, TSSOP48,.25,16
针数48
Reach Compliance Codenot_compliant
控制类型ENABLE LOW
系列ALVC/VCX/A
JESD-30 代码R-PDSO-G48
JESD-609代码e0
长度9.7 mm
逻辑集成电路类型BUS DRIVER
最大I(ol)0.024 A
湿度敏感等级1
位数16
功能数量1
端口数量2
端子数量48
最高工作温度85 °C
最低工作温度-40 °C
输出特性3-STATE
输出极性TRUE
封装主体材料PLASTIC/EPOXY
封装代码TSSOP
封装等效代码TSSOP48,.25,16
封装形状RECTANGULAR
封装形式SMALL OUTLINE, THIN PROFILE, SHRINK PITCH
电源3.3 V
Prop。Delay @ Nom-Sup3.3 ns
传播延迟(tpd)4.5 ns
认证状态Not Qualified
座面最大高度1.2 mm
最大供电电压 (Vsup)3.6 V
最小供电电压 (Vsup)2.7 V
标称供电电压 (Vsup)3.3 V
表面贴装YES
技术CMOS
温度等级INDUSTRIAL
端子面层Tin/Lead (Sn85Pb15)
端子形式GULL WING
端子节距0.4 mm
端子位置DUAL
宽度4.4 mm

IDT74ALVCH16334PF相似产品对比

IDT74ALVCH16334PF IDT74ALVCH16334PV
描述 Bus Driver, ALVC/VCX/A Series, 1-Func, 16-Bit, True Output, CMOS, PDSO48, 0.40 MM PITCH, TVSOP-48 Bus Driver, ALVC/VCX/A Series, 1-Func, 16-Bit, True Output, CMOS, PDSO48, 0.635 MM PITCH, SSOP-48
是否Rohs认证 不符合 不符合
厂商名称 IDT (Integrated Device Technology) IDT (Integrated Device Technology)
零件包装代码 SOIC SSOP
包装说明 TSSOP, TSSOP48,.25,16 0.635 MM PITCH, SSOP-48
针数 48 48
Reach Compliance Code not_compliant not_compliant
控制类型 ENABLE LOW ENABLE LOW
系列 ALVC/VCX/A ALVC/VCX/A
JESD-30 代码 R-PDSO-G48 R-PDSO-G48
JESD-609代码 e0 e0
长度 9.7 mm 15.875 mm
逻辑集成电路类型 BUS DRIVER BUS DRIVER
最大I(ol) 0.024 A 0.024 A
湿度敏感等级 1 1
位数 16 16
功能数量 1 1
端口数量 2 2
端子数量 48 48
最高工作温度 85 °C 85 °C
最低工作温度 -40 °C -40 °C
输出特性 3-STATE 3-STATE
输出极性 TRUE TRUE
封装主体材料 PLASTIC/EPOXY PLASTIC/EPOXY
封装代码 TSSOP SSOP
封装等效代码 TSSOP48,.25,16 SSOP48,.4
封装形状 RECTANGULAR RECTANGULAR
封装形式 SMALL OUTLINE, THIN PROFILE, SHRINK PITCH SMALL OUTLINE, SHRINK PITCH
电源 3.3 V 3.3 V
Prop。Delay @ Nom-Sup 3.3 ns 3.3 ns
传播延迟(tpd) 4.5 ns 4.5 ns
认证状态 Not Qualified Not Qualified
座面最大高度 1.2 mm 2.794 mm
最大供电电压 (Vsup) 3.6 V 3.6 V
最小供电电压 (Vsup) 2.7 V 2.7 V
标称供电电压 (Vsup) 3.3 V 3.3 V
表面贴装 YES YES
技术 CMOS CMOS
温度等级 INDUSTRIAL INDUSTRIAL
端子面层 Tin/Lead (Sn85Pb15) Tin/Lead (Sn85Pb15)
端子形式 GULL WING GULL WING
端子节距 0.4 mm 0.635 mm
端子位置 DUAL DUAL
宽度 4.4 mm 7.5 mm
FPGA菜鸟求助。。。请各位大侠帮忙
我用了Altera的EP1C6Q240C8的一块学习板,自己用VHDL编了个小程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt is port (clk,rst:in std_logic; count:out std_logic);end entity;architectur...
xiumugengmu FPGA/CPLD
AT89C52怎么下载程序?
有个问题~我的AT89c52怎么下载程序啊?本人学生,没那么多钱买编程器啊,毕竟因为上次第一次买芯片,没问清楚就买了 ~~~o(︶︿︶)o 唉网上搜了一大通,但是没找到具体的符合我目前要求的,想要串口下载,9针的~~可惜没找到啊...
xin2893 51单片机
三个按键和三个指示灯,分别是什么功能?
STBOX有三个按键和三个指示灯,分别是什么功能?有资料么?在哪查?...
lanhua ST MEMS传感器创意设计大赛专区
求教,28027,28035 一个ePWM周期,触发单个端口,多次转换!
大家好,我碰到一个问题是ePWM触发ADC转换的,TI的例子有一个EPWM周期确发两个不同端口ADC转换,而我现在需要触发10个以上单端口转换,而且转换是周期性的取样,需要在下个ePWM触发时,转换完成请问一下寄存器要如何设置,能提供个思路吗?谢谢...
bbontime 微控制器 MCU
CCS6.0.0.00190_win32下载地址
[url]http://pan.baidu.com/s/1kTksbtl[/url]...
clls2080000 微控制器 MCU
直播我的全国五子棋公开赛--第一天
[i=s] 本帖最后由 chenzhufly 于 2014-9-6 17:17 编辑 [/i]中秋节跑重庆参加全国五子棋公开赛,比赛时间是6、7、8总共3天,水平有限,纯属炮灰。与大家共享一下我的对局。1、第一局对奚振扬早晨8:30开赛,我持黑早就知道他下棋挺厉害,虽没有赢的把握,但是也没料到死的这么快,差距相当的大啊。2、第二局 对 张书皓12:30开赛,我持白一个8岁的小孩子,稳当点开了平衡局...
chenzhufly 聊聊、笑笑、闹闹

技术资料推荐更多

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 660  1015  1236  1465  1559 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved