电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

MAN6410

产品描述Smart/Normal 7 Seg Numeric LED Display, High Efficiency Green, 14.22mm, 18 PIN
产品类别光电子/LED    光电   
文件大小866KB,共4页
制造商Quality Technologies Corp
下载文档 详细参数 选型对比 全文预览

MAN6410在线购买

供应商 器件名称 价格 最低购买 库存  
MAN6410 - - 点击查看 点击购买

MAN6410概述

Smart/Normal 7 Seg Numeric LED Display, High Efficiency Green, 14.22mm, 18 PIN

MAN6410规格参数

参数名称属性值
厂商名称Quality Technologies Corp
包装说明18 PIN
Reach Compliance Codeunknown
其他特性IC COMPATIBLE
颜色HIGH EFFICIENCY GREEN
配置COMMON ANODE, 2 DIGITS WITH DECIMAL POINT
显示高度14.22 mm
最大正向电流0.03 A
功能数量2
最高工作温度85 °C
最低工作温度-40 °C
光电设备类型SMART/NORMAL 7 SEG NUMERIC LED DISPLAY

MAN6410相似产品对比

MAN6410 MAN6460 MAN6440 MAN6480
描述 Smart/Normal 7 Seg Numeric LED Display, High Efficiency Green, 14.22mm, 18 PIN Smart/Normal 7 Seg Numeric LED Display, High Efficiency Green, 14.22mm, 10 PIN Smart/Normal 7 Seg Numeric LED Display, High Efficiency Green, 14.22mm, 18 PIN Smart/Normal 7 Seg Numeric LED Display, High Efficiency Green, 14.22mm, 10 PIN
厂商名称 Quality Technologies Corp Quality Technologies Corp Quality Technologies Corp Quality Technologies Corp
包装说明 18 PIN 10 PIN 18 PIN 10 PIN
Reach Compliance Code unknown unknown unknown unknown
其他特性 IC COMPATIBLE IC COMPATIBLE IC COMPATIBLE IC COMPATIBLE
颜色 HIGH EFFICIENCY GREEN HIGH EFFICIENCY GREEN HIGH EFFICIENCY GREEN HIGH EFFICIENCY GREEN
配置 COMMON ANODE, 2 DIGITS WITH DECIMAL POINT COMMON ANODE, 1 DIGIT WITH DECIMAL POINT COMMON CATHODE, 2 DIGITS WITH DECIMAL POINT COMMON CATHODE, 1 DIGIT WITH DECIMAL POINT
显示高度 14.22 mm 14.22 mm 14.22 mm 14.22 mm
最大正向电流 0.03 A 0.03 A 0.03 A 0.03 A
功能数量 2 1 2 1
最高工作温度 85 °C 85 °C 85 °C 85 °C
最低工作温度 -40 °C -40 °C -40 °C -40 °C
光电设备类型 SMART/NORMAL 7 SEG NUMERIC LED DISPLAY SMART/NORMAL 7 SEG NUMERIC LED DISPLAY SMART/NORMAL 7 SEG NUMERIC LED DISPLAY SMART/NORMAL 7 SEG NUMERIC LED DISPLAY
启点
从今天开始学习FPGA。 还有Verilog HDL。希望大家一起学习!加油!!!...
捍卫真理 FPGA/CPLD
VHDL写的键盘程序有错误 那位帮解决一下
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity t isport(key0,key1,key2,key3,key4,key5,key6,key7,key8,key9 : in std_logic;clk : in std_logic;key : out integer range 0 t...
nearfuture 嵌入式系统
cs5532/cs5534无法读数据
初始化反馈为30000000(期望为10000000)且读数据卡在while(SPI_MISO_R);强行读数为全0求大佬给点提示...
zxd74180 模拟与混合信号
串口通讯 我用中断方式接受 怎么老式不行啊 请大虾们帮我看看啊 不胜感激!!!>>>>>>
#include "config.h"uint16 Fdiv;uint8 p;uint8 flag_ri;void __irq IRQ_UART0(void){if(0x04==(U0IIR&0x0f)){p=U0RBR;flag_ri=1;}VICVectAddr=0x00;}void DelayNS(uint32 dly){uint32 i;for (;dly>0;dly--)for (i=0...
evenles 嵌入式系统
【成都】【创业伙伴招募】-Windows开发,短信彩信开发
本人于成都创立软件工作室,工作室属于创业初期。工作室致力于移动平台应用,主要为海外外包产品。网址:www.mobiletao.com (主要面向海外客户)现工作室欲拓展国内市场,开发移动商业应用,招募技术合作伙伴,共同创业。要求:1.对移动商业应用充满兴趣与信心,有强烈的创业欲望。2.热衷各类技术,有很强的技术学习和创新能力。3.熟悉Windows平台开发,有丰富的项目经验,能够独立开发完整的产品...
2007bobo2008 嵌入式系统
一次报名 双重大礼|成为体验FLUKE新品的先锋者
FLUKE新品拥有难以置信的性价比,除此之外,还拥有:令人惊叹的640*480红外像素画质4倍像素提供1280*960超高清画面Fluke Connect SmartView软件实现无线传输MATLAB和Labview二次开发包满足研发工程师分析需求肉眼可见的清晰用320像素的价格,买640像素的产品!现在只要扫描以下二维码,填写申请表单后,点击提交信息,即可申请体验FLUKE新品。活动一重礼:提...
EEWORLD社区 综合技术交流

技术资料推荐更多

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 361  411  755  1469  1623 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved