电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

M38D51GDXXXFP

产品描述SINGLE-CHIP 8-BIT CMOS MICROCOMPUTER
文件大小2MB,共142页
制造商Renesas(瑞萨电子)
官网地址https://www.renesas.com/
下载文档 全文预览

M38D51GDXXXFP概述

SINGLE-CHIP 8-BIT CMOS MICROCOMPUTER

[经验分享] 【基于AI摄像头的场景重现项目】基于全志v831的AI识别方案
[i=s] 本帖最后由 walker2048 于 2022-10-21 21:52 编辑 [/i]AI识别方案选型由于报名时的方案选型错误,原计划用esp32-cam实现AI识别奥特曼英雄的功能。但是经过评估和学习后,发现esp32-cam方案并不好实现。主要是两个原因esp32-cam的摄像头像素不会很高,同时因为是定焦摄像头,图像大小和拍摄距离是比较难调整的。esp32的性能相对来说,比目前常...
walker2048 DigiKey得捷技术专区
学模拟+时钟抖动使随机抖动和相位噪声不再神秘
[i=s] 本帖最后由 dontium 于 2015-1-23 11:46 编辑 [/i]时钟抖动使随机抖动和相位噪声确实是一直困扰我的问题,对于这方面问题我也查过很多资料,一直无法解决。阅读了时钟抖动使随机抖动和相位噪声不再神秘的博文我有了新的认识。这篇博文确实很有深度,从不一样的方面分析了这两个问题。文中还有图像,很形象,我觉得真的很不错,是你值得停留下来阅读的好文章。[url]deyisup...
超爱洁 模拟与混合信号
综合时为何总提示Input XXX is unused呢?
[code]library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity save isport(frame:in std_logic;--高电平时,存储wrsig:in std_logic;--上升沿时,到来一个字节的数据datain:in std_logic_vector(7 downto 0))...
pinggougou FPGA/CPLD
富士通MB95560 95F564K实现16位 10kHZ频率PWM
最近看一个应用,用95F564K内部震荡器,做到16位15kHz频率的PWM,需要15kHz*65536=983040=983.04MHz主频,这怎么可以能。请问有朋友能知道怎么做吗?...
iawellll 单片机
PCB中添加网络只有手动一种方法吗?
没有与原理图,直接画的PCB,所以焊盘上都没有网络,想要添加网络,除了用Netlist Manager一个个的编辑添加之外,还有没有更快捷的方法?...
lhhuan PCB设计
使用KEIL加入自己的头文件出错,求助啊
学习单片机C语言,现在尝试模块化编程的学习,把一个简单的LED灯的程序中的DELAY函数抽出来当作一个独立模块,出错N次,尝试了N+1次后仍然不得其解,看来教学视频也没看出来哪有差别,求助高手指点迷津啊#include reg51.h #include intrins.hsbit LS138A=P2^2; sbit LS138B=P2^3;sbit LS138C=P2^4; sbit LED8_1...
怕碎的瓶子 51单片机

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 76  755  871  1044  1595 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved