电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

XC6124C430EL

产品描述Voltage Detector with Watchdog Function and ON/OFF Control (VDF=1.6V~5.0V)
文件大小430KB,共23页
制造商TOREX(特瑞仕)
官网地址http://www.torex.co.jp/chinese/
下载文档 全文预览

XC6124C430EL概述

Voltage Detector with Watchdog Function and ON/OFF Control (VDF=1.6V~5.0V)

有关开关电源的小信号建模
我关注重点在升压直流转换器(Boost DC-DC Converter),所有的建模工作都是针对Boost,但是,结论适合Buck,Buck-Boost(欢迎大家验证)。 说到开关电源的小信号建模,我们不得不提到一个鼻祖级人物——R.D. Middlebrook,就是他提出的平均状态空间法。Robert W. Erickson所著的《Fundamentals of Power Electronic...
zbz0529 电源技术
最新版的STM32F中文参考手册发布没有?
目前我手里的是第7版,有第8版了吗?...
wshb007 stm32/stm8
包集合体中函数调用 求教
我在文件名 为uart_serial.vhd中定义了如下包集合:library ieee;use ieee.std_logic_1164.all;package uart_serial isfunction get_parity(get_parity_type :std_logic;get_parity_data :std_logic_vector(7 downto 0))return std_l...
eeleader FPGA/CPLD
自行车也能自动了,不知道这和咱路上跑的电三轮有啥区别?
由科罗拉多州立大学的几位同学发明了自动档自行车——根据后轮速度、骑行方向、链条初始位置自动切换轮盘实现变档。有兴趣的朋友可以改装一下...
fish001 聊聊、笑笑、闹闹
PIC 架构
今天给大家介绍的是PIC的基本结构PIC系列单片机在单片机领域里面占有很重要的位置...
rain Microchip MCU
【最爱MSP432】+性能优越的432学习体会
以前在手持机项目中用过msp430,重点看中了430的低功耗和AD采集功能,性能已经很好了,现在推出高性能的msp432,提供给设计者更广阔的设计和扩展空间,由于MSP430和MSP432采用相同的API驱动,二者的代码、寄存器及低功耗外设是兼容的,所以软件设计可以在MSP430和MSP432之间无缝移植,极大方便了系统升级。MSP432性能:低功耗的32位ARM Cortex-M4F、48MHz...
lr_xgm 微控制器 MCU

技术资料推荐更多

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 988  1103  1328  1541  1585 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved