电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

KX15-020K8EE

产品描述Board Stacking Connector, 20 Contact(s), 2 Row(s), Male, Straight, Surface Mount Terminal, Plug, LEAD FREE
产品类别连接器    连接器   
文件大小147KB,共1页
制造商Japan Aviation Electronics Industry Limited
下载文档 详细参数 全文预览

KX15-020K8EE概述

Board Stacking Connector, 20 Contact(s), 2 Row(s), Male, Straight, Surface Mount Terminal, Plug, LEAD FREE

KX15-020K8EE规格参数

参数名称属性值
包装说明LEAD FREE
Reach Compliance Codeunknown
主体/外壳类型PLUG
连接器类型BOARD STACKING CONNECTOR
联系完成配合GOLD (4) OVER NICKEL (4)
联系完成终止GOLD FLASH OVER NICKEL
触点性别MALE
DIN 符合性NO
滤波功能NO
IEC 符合性NO
JESD-609代码e4
MIL 符合性NO
制造商序列号KX
混合触点NO
安装方式STRAIGHT
安装类型BOARD
装载的行数2
选件GENERAL PURPOSE
端子节距0.8 mm
端接类型SURFACE MOUNT
触点总数20
Base Number Matches1
EEWORLD大学堂----计算机视觉课程 清华大学 王明哲
计算机视觉课程 清华大学 王明哲:https://training.eeworld.com.cn/course/5320本课程为青年AI自强项目-计算机视觉课程,主要的组织者、演讲者、参与者全部以学生为主,为同学们解决实际问题:弥补“技术小白”与“老师觉得你懂”之间的鸿沟、弥补“课本”到“实践”的鸿沟、解决一般的学术文章/资源不易读的问题。本课程主要由8次讲座以及1个转化挑战任务组成,讲座每隔一周举...
木犯001号 综合技术交流
第一次搞FIFO,数据貌似写不进去,求助Ing
SOPC BUILDER定制了个8位256深度的FIFO,综合能通过,但仿真没成功,各位高手看看呗,给点意见library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity control is port(clk : in std_logic;wr...
jan_von FPGA/CPLD
学得不够仔细啊。。。考试成绩很差,,,大家都得了多少分呢?
才19分。。。。悲剧啊。。。错了好几个。。。视频听了两遍都没有,还是去查DS里才有。。。。。。大家都得了多少分?...
youki12345 微控制器 MCU
功率转换概述
开关电源不错的入门资料——功率转换概述...
吴超伟 电源技术
决定进军ARM/MCU
决定进军ARM/MCU...
wisyjt ARM技术
求助ADS7843的驱动,愿出200元报酬
LM3S下使用SSI驱动ADS7843的代码,搞了3天了,还是没搞定,不知道问题出在哪里了模拟的就算了,我一开始就做出来了,我想要的是硬件SPI模式的。另外,也可以用ucos iii + LWIP来交换这个代码。LWIP目前还没有ucos iii下的移植版本哦不知道大家对200元有什么意见没有我说一下我使用的方法:freescale模式,时钟极性为上升沿,在第一个时钟来到时采样,即使用的是SSI_...
big 微控制器 MCU

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 61  238  871  1475  1503 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved