电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

TP-104-13-08

产品描述Interconnection Device, ROHS COMPLIANT
产品类别连接器    连接器   
文件大小262KB,共4页
制造商Components Corporation
标准
下载文档 详细参数 全文预览

TP-104-13-08概述

Interconnection Device, ROHS COMPLIANT

TP-104-13-08规格参数

参数名称属性值
是否无铅不含铅
是否Rohs认证符合
包装说明ROHS COMPLIANT
Reach Compliance Codecompli
连接器类型INTERCONNECTION DEVICE
Base Number Matches1
AVR的SPI问题
想知道AVR作为从机的时候时序是怎么样的。从机的通讯方式是什么样的?主机SCK默认的是低电平,则从机的SCK是不是无法给脉冲?...
zdhm 嵌入式系统
请问怎么消除锁存器?
是带7位数码管的模100计数器library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity top isport(clk,rst:in std_logic;digit1,digit2:out std_logic_vector(6 downto 0));end top;architecture Behavioral of top isbeginprocess(clk...
destiny_zm FPGA/CPLD
wince起来(不是eboot)LCD初始化位置是在哪?
wince起来(不是eboot)LCD初始化位置是在哪?是在显示驱动吗?...
zgy8864 WindowsCE
1000元求教Yacc Lex C到VHDL最基本功能编译器
各位前辈,本人求一个C到VHDL转换编译器的代码,功能:只需要最基本的功能,这里给出一个C写的加法器程序并给出一个相应应该转换成的VHDL(见附件2页的论文中),当然您也可以自行修改,或是直接给个另外的程序,当然越简单越好。但是只需要完成最最基本转换,比如C的指针,代码优化,错误检测,可以统统不要,方便您的工作#include#includemain(){int add();int a,b,c;s...
shen001 嵌入式系统
新一周的测评情报已经送到~
hi,大家好~新一周的测评情报已经送到拉~请接收~在刚刚过去的一周,又有新的测评活动上线了哦~~偷偷再跟大家说一说,在管仔们的争取下,这周也有一波板子在寄送过来的路上了~~等我收到来开箱哦~~咱先来看看新上线的活动吧~~新鲜的开发板活动上线:1.DFRobot AS7341可见光谱传感器测评试用(这个蛮有意思的,玩起来玩起来)https://bbs.eeworld.com.cn/elecplay/c...
okhxyyo 测评中心专版
USART_ITConfig(USART1,USART_IT_TEX ,ENABLE);使能串口发不了数
USART_Init(USART1, &USART_InitStructure);USART_Cmd(USART1, ENABLE);[color=Red]USART_ITConfig(USART1,USART_IT_RXNE,ENABLE);// USART_ITConfig(USART1,USART_IT_TEX ,ENABLE);//不使能这个串口正常,使能就进不了while(1){};??...
rgbfnso stm32/stm8

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 341  766  1233  1373  1399 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved