电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

1446A-1-5

产品描述PCB Terminal
产品类别连接器    接线终端   
文件大小72KB,共1页
制造商Winchester Electronics
下载文档 详细参数 全文预览

1446A-1-5概述

PCB Terminal

1446A-1-5规格参数

参数名称属性值
Reach Compliance Codeunknown
ECCN代码EAR99
Is SamacsysN
制造商序列号1446
终端性别FEMALE
端子和端子排类型PCB TERMINAL
Base Number Matches1
wince界面设计问题
[img]http://hi.eeworld.net/attachment/201002/25/1084401_1267079840NeOD.jpg[/img]请问要设计出这样的界面需要使用什么软件?这种界面设计使用的什么方法。都是贴的图吗?那具体设计过程是怎么样的?好像是先设计整个界面,然后在截图。...
ruohanzi WindowsCE
电路参考地电位的问题
如图所示,左边的逆变半桥,正负母线电压分别是380V,半桥逆变输出220VAC。右边的栅极驱动电路中,U1和U2的参考地电位分别选取自点A和点B,显然A点电压等于输出电压,是交流量,B点电压等于负母线电压,是直流量是不是无论直流量、交流量都可以当做参考地电位?...
shaorc 模拟电子
开发者必备丨Docker客户端常用命令分享
开发者必备丨Docker客户端常用命令分享学习Docker,首先需要了解Docker基本概念,我们在以前的文章中介绍过docker的基本原理和部署操作系统。今天讲下嵌入式开发中docker客户端常用的命令,开始进入动手阶段(也就是敲Docker命令)。Docker命令较多,这里笔者总结下常用的Docker命令,方便小伙伴们查阅。客户端常用命令列表以下为Docker 客户端常用命令列表,可以通过do...
flseo ARM技术
那么这两种写法又有啥区别呢
那么这两个有啥区别呢?reg 【15:0】datareg data【15:0】...
chenzhufly FPGA/CPLD
示波器测量中的“热地”和“冷地”.
无线电中的一篇文章,介绍了示波器使用中要注意的一个问题...
a_bb DIY/开源硬件专区
谁能帮忙看看这段VHDL代码有什么问题
library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity period_1ms isport (CLKIN : in std_logic;CLR : in std_logic;LOAD : in std_logic;DATA : in std_logic_vector(15 downto 0);CLKOUT : out std_logic);end entit...
purpleshellfish FPGA/CPLD

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 364  421  749  1341  1432 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved