电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

REC5-053.3SRW/H2/A/X2

产品描述隔离式DC/DC转换器 5W 'ECONOLINE' DIP24 2kV reg 2:1
产品类别电源/电源管理    电源电路   
文件大小122KB,共4页
制造商RECOM
标准
下载文档 详细参数 全文预览

REC5-053.3SRW/H2/A/X2概述

隔离式DC/DC转换器 5W 'ECONOLINE' DIP24 2kV reg 2:1

REC5-053.3SRW/H2/A/X2规格参数

参数名称属性值
是否Rohs认证符合
厂商名称RECOM
包装说明,
Reach Compliance Codecompliant
ECCN代码EAR99
模拟集成电路 - 其他类型DC-DC REGULATED POWER SUPPLY MODULE
一个研发总监的新职业方向
[table=98%][tr][td][font=宋体][font=黑体][size=4]第一章:[/size][/font][/font][font=宋体][font=黑体][size=4]有一位朋友,失恋了,写了一片博客文章,题目叫“走出”,缅怀曾经十几年的情感,曾经的欢笑和揪心,以及虽然争执、但仍留存内心的那份淡淡的失落。[/size][/font][/font][font=宋体][font...
亲善大使 工作这点儿事
酷炫七彩折纸小灯笼
[i=s] 本帖最后由 ginny 于 2017-3-19 18:10 编辑 [/i][align=center][color=rgb(62,62,62)][/color][/align][align=center][color=rgb(62,62,62)]酷炫七彩折纸小灯笼。[/color][/align][color=rgb(62,62,62)][align=center][/align][/...
ginny 创意市集
fpga&quartus7.2
我使初学者quartus7.2下编写decoder程序源代码如下library ieee;use ieee.std_logic_1164.all;entity decoder isport(sel:std_logic_vector(2 downto 0);enable:std_logic;Y:out std_logic_vector(7 downto 0));end entity decoder;...
zoujy518 嵌入式系统
【RISC-V MCU CH32V103测评】+ ADC模拟看门狗
我们在用ADC的时候有时候会需要监视ADC的值在某范围内,传统轮询处理起来往往不够及时,外围添加两个电压比较器又增加电路成本还要再占2个GPIO,这些,厂商也想到了,就在ADC中集成了一个模拟看门狗,只要设定上限和下限即可工作,当低于下限或超过上限就会触发中断.添加红框内代码it.c中添加void ADC1_2_IRQHandler(void) __attribute__((interrupt(W...
eew_Violet 国产芯片交流
凭优惠码五折购买TI-PLABS-AMP-EVM晒单送小米蓝牙音箱
[size=3][b][font=微软雅黑]TI-PLABS-AMP-EVM [/font][/b][/size][font=微软雅黑][size=3]一块颜值与气质兼修的开发板[/size][/font][font=微软雅黑][size=4][color=#ff0000]凭优惠码[b]CNMKJ[/b]即可五折购入(注:此优惠码截止到7月31日前有效)[/color][/size][/font]...
eric_wang TI技术论坛
论坛的进一步的规划在哪里?
陆续热心的网友提问,有的留下很好的建议,是不是采纳,或者论坛下一步的规划在哪里,管理人员,版主的越来越多,风格不一样,是不是有些共同的准则呢?希望看到一些这个方面的东西,qq集体聊天也可以啊!...
gaoxiao 为我们提建议&公告

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 159  285  701  970  1249 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved