-
设计做的,比较简单,手机通过UART连接单片机,PWM可任意调速,注意风扇的驱动电压。 #include msp430f169.h #include lcd12864.h #include PWM.h #include UART.h #include adc.h unsigned char key = 0; unsigned char Statu = 0; unsigned int a = 0...[详细]
-
【科技早茶】苹果的发布会之后,iPhone X成为焦点,还没开售价格已经炒到2万元一部,并且交6000元才能排队;曾经站在风口的广场舞创业,如今神话破灭,进入洗牌期...详细内容请看科技早茶 iPhone X iPhone X各国售价对比:印度最高中国第三 据彭博社北京时间9月14日报道,尽管苹果公司的最新旗舰机iPhone X在各个国家卖的都不便宜,但是彭博社的计算显示,相...[详细]
-
据锁业专家统计,目前全国锁具销售量每年约在22亿把以上。经过数年市场酝酿,市场对指纹锁的需求正日益俱增。据估算,包括金融、军警、办公在内的商用市场每年有约500万套的市场需求。民用市场成熟后,每年至少商用市场的需求量。在未来的数年内,指纹锁具将占居锁具市场的最大份额,成为中国锁业的一个转折点。 人们使用锁具的方式在不断的发生着变化。从机械锁到电子锁,锁具行业跨出了巨大的一步,同时也给人们的...[详细]
-
富士推出Lifebook U939X/A:全球带手写笔的13.3英寸最薄变形本 根据官方新闻稿显示,富士称最新推出的Lifebook U939X/A是全球最薄的13.3英寸变形笔记本,同时还附赠手写笔。这款笔记本厚度为16.9mm,重量为877g,非常适合商业人士外出携带。这个变形笔记本具备360度旋转铰链,能够根据使用情境的不同调整任意角度。 富士在新闻稿中表示在键盘表面整合了网络摄像...[详细]
-
一、引言 心脏疾病是造成病残和死亡的常见疾病,在发达国家中,心血管系统疾病已成为最为常见的疾病和致死的重要原因,而随着我国人口老龄化,心血管疾病的比例也一年比一年高。心血管诊断除了临床外,主要依靠医疗器械。心电和心音是检测心血管疾病的两种不同的手段,心电主要应用于心率失常及心肌缺血的定性与定量分析诊断,心血管药物的疗效评价。心音图能够有效的弥补心脏听诊的不足,将心脏听诊不能记录的心音...[详细]
-
摘要:在分析倍频式SPWM并网逆变器电压相量图的基础上,提出了一种基于TMS320LF2407DSP芯片作为控制器的并网逆变器实现方案。该方案实现简单,控制方便,相关的实验波形验证了该方案的正确性。
关键词:逆变器;控制策略;电压相量图;数字信号处理器
引言
为了解决即将到来的能源危机,开发绿色的、可持续的新型能源已成为近年来的研究焦点。其中,能馈系统和光伏系统的研究与设计已取得一定成绩...[详细]
-
微电子器件和测试测量仪器的企业艾法斯公司 (Aeroflex) 今天宣布其TM500移动测试终端又新添了对3GPP WCDMA Release 9的支持,这个版本是多种HSPA+标准的最新演进,可支持MIMO(多输入多输出)和DC-HSDPA(双蜂窝高速下行分组接入)的同时运行,从而将最大数据速率加倍至84 Mbps。 这种TM500 Rel-9 DC-HSDPA L1L2测试终端...[详细]
-
联发科在2013年全球平板电脑晶片市场初试啼声,全年出货量就达到逾2,000万颗。公司除自年中已将平板电脑晶片产品线自智慧型手机晶片产品线分出,改划给数位家庭晶片产品线外,总经理谢清江也表示,对于平板电脑晶片产品线的关爱眼神将会越来越多。 虽然2013年联发科特别针对平板电脑产品所推出的晶片解决方案只有MT8125、MT8135 2款,但谢清江透露,自2014年开始,针对高、中、低阶平...[详细]
-
简介:CPLD与AVR通信PWM控制程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity KBCtest is port( rst,clk:instd_logic;--时钟和复位信号 --...[详细]
-
1 引 言 频率合成技术是现代通信的重要组成部分,它是将一个高稳定度和高准确度的基准频率经过四则运算,产生同样稳定度和准确度的任意频率。随着大规模集成电路的发展,利用锁相环频率合成技术研制出了很多频率合成集成电路。其中,以摩托罗拉公司的MC14515x-2系列较为先进,本文将介绍一种基于MC145152-2芯片的频率合成器。这种锁相环频率合成器的稳定度和准确度与基准频率相当,不产生额...[详细]
-
“宝宝的 儿童手表 又没电了,要带他出去玩,如果手表没电用不了,怎么跟得上好奇又多动的宝宝啊?”“孩子上学要迟到了,这儿童手表怎么充电这么慢啊?”这是很多家长对儿童手表“鸡肋” 电池 发出的抱怨,是时候解决儿童手表续航差、充电慢的问题了。
5月31日,360 巴迪龙 儿童手表5s在360商城正式开启热销模式。除了六重定位和360 OS for wear等诸多亮点,电池技术的优势也成为这款...[详细]
-
俄工程师指控叛国。据BBC报道,俄罗斯安全官员逮捕了几名在俄罗斯的绝密核弹头设施工作的科学家,由于涉嫌挖矿加密货币。下面就随嵌入式小编一起来了解一下相关内容吧。 媒体报道称,嫌疑人曾试图使用俄罗斯最强大的 超级计算机 之一开采 比特币 。 位于俄罗斯西部的萨洛夫联邦核中心是一个禁区。 该中心的新闻媒体说:“未经批准企图将电脑设施用于私人目的,包括所谓的挖矿。” 超级计算机...[详细]
-
随着国家医疗机构和个人卫生费用投入的不断加大,医疗电子行业开始快速发展。现代电子技术能够使得诊断、治疗和生化更加便利,从而促进了各种医疗设备的更新升级,中国医疗电子设备公司的产品将向中高端产品系列延伸。 针对医疗电子的发展趋势特征,ADI公司亚太区医疗行业市场经理王胜先生认为:“中国的医疗电子设备公司的产品将向中高端产品系列延伸,例如数字DR,多层CT, 高端彩超以及其他大型医疗影像设备,增加全...[详细]
-
华为公司官方微博宣布将于6月2日举办鸿蒙操作系统及华为全场景新品发布会。有业内人士透露,这一次华为很有可能会带来智能手表品类新品。 果不其然,今天华为官方就发布了官宣海报:#万物皆鸿蒙# 智慧想象,一表万象。#华为WATCH#3,旗舰归来。 华为消费者业务COO何刚也发微博称:“6月2日,我们将发布搭载鸿蒙操作系统的全新手表——华为WATCH 3系列,TA能感知你的温度,你的呼吸。” ...[详细]
-
近日,天府储能为客户提供的MW级标准化钒电池储能模组,经过严格的质量检测,完全符合行业既定标准,现已安全、高效地运抵指定目的地。
MW级标准化钒电池储能模组 发货图
天府储能MW级标准化钒电池储能模组以两个500kW高功率模块为核心,每个模块由4台天府储能128kW钒电池超级电堆,通过精妙管道布局实现无缝集成,其容量模块由四个1MWh容量集装箱构成,容...[详细]