电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

H30D40

产品描述HIGH EFFICIENCY RECTIFIERS(30A,300-600V)
文件大小144KB,共2页
制造商MOSPEC
官网地址http://www.mospec.com.tw/eng/index.html
下载文档 选型对比 全文预览

H30D40概述

HIGH EFFICIENCY RECTIFIERS(30A,300-600V)

H30D40相似产品对比

H30D40 H30D30 H30D50 H30D60
描述 HIGH EFFICIENCY RECTIFIERS(30A,300-600V) HIGH EFFICIENCY RECTIFIERS(30A,300-600V) HIGH EFFICIENCY RECTIFIERS(30A,300-600V) HIGH EFFICIENCY RECTIFIERS(30A,300-600V)
编译通过,但MODESIM仿真不出波形
编译都是通过的,但是用modelsim前仿却没有输出波形。library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity decoder_4_16 isport(a1,b1,c1,d1,g2a1,g2b1:in std_logic;k : out...
eeleader FPGA/CPLD
响水湖 千米之外闻响水
响水湖瀑布  响水湖自然风景区位于怀柔慕田峪长城西部,距县城28公里,总面积18平方公里, 天然锦绣,集长城、古洞、山川、泉潭、飞瀑于一体,空气与山泉水质经测验均属于一级标准,是京郊又一处得天独厚、秀丽多姿的旅游圣地。  响水湖并不是因为有一片很大的水域得名,而是在泉水的源头,泉涌如注,千米之外便可听到听湖水的响声,系怀柔第一大泉,故此得名“响水湖”。景区地处明代长城建关筑堡的山谷之中,山谷两侧,...
maker 聊聊、笑笑、闹闹
TLP3547评估板评测
拿到侧平板之后一直忙别的项目,没有时间测。昨天抽时间简单测了一线TLP3547该模块的基本性能。[size=14px]测试方法:在评估版的1、2引脚接入信号发生器,输入一定频率的脉冲波形;3、4引脚接入万用表蜂鸣器档。[/size][size=14px]测试过程:1.将信号发生器频率调制为1hz,幅值为100mV.[/size][size=14px]2.将幅值慢慢上升。当达到3.48V时蜂鸣器开始...
麋鹿黑 东芝光电继电器TLP3547评测
一种嵌入式FLASH文件系统的设计和实现
一种嵌入式FLASH文件系统的设计和实现...
吸铁石上 嵌入式系统
FPGA设计基础----D触发器
一个使能端为E的D触发器:工作原理是:当E=0时:控制门被封锁,触发器保持原态不变。Qn+1=Qn当E=1时:控制门开启,Qn+1=D(E=1时),那么上电的时候输出Q为什么状态呢? 也就是说Qn是什么状态呢?Q是输出为0。...
eeleader FPGA/CPLD
华声彩行脚位通法大全
文件太大分压上传...
刘小飞 下载中心专版

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 425  457  653  1473  1664 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved