电子工程世界电子工程世界电子工程世界

关键词

搜索

型号

搜索
 PDF数据手册

MI-P6KZ-MYZ

产品描述Military Chassis Mount DC-DC Converters 10 to 300W Single, Dual, Triple Outputs
文件大小129KB,共4页
制造商VICOR
官网地址http://www.vicorpower.com/
下载文档 全文预览

MI-P6KZ-MYZ概述

Military Chassis Mount DC-DC Converters 10 to 300W Single, Dual, Triple Outputs

两款直流开关电源设计分析
简易型直流开关电源     由TEA1520系列构成的简易型直流开关电源电路如图1所示。[color=black]                  图1由TEA1520系列构成简易型直流开关电源的电路[/color][color=black]   ...
七月七日晴 电源技术
这是我写的lcd1602液晶显示的数字钟,编译没错,但不能显示,请大...
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity LCD3 isport(clk: in std_logic;en,rs,rw:out std_logic;data:out std_logic_vector(7 downto 0))...
yx571371942 嵌入式系统
程序列表
最近想做一个程序列表,就是显示当前正在运行的所有应用程序列表(不是进程),但不知道怎么获取,有没有直接获取的?进程我知道怎么获取。谢谢大家提供信息。...
jerryzheng 嵌入式系统
在dll里用了这个代码,g_hInst,videownd是从外部传过来的. IDD_CAMERA_DIALOG是dll里的资源,这样为什么不行?如果把IDD_
在dll里用了这个代码,g_hInst,videownd是从外部传过来的.IDD_CAMERA_DIALOG是dll里的资源,这样为什么不行?如果把IDD_CAMERA_DIALOG也从外部传进来就可以.DialogBoxParam(g_hInst, MAKEINTRESOURCE( IDD_CAMERA_DIALOG ),videownd, (DLGPROC) AboutCallBack, NU...
cd001 嵌入式系统
硬件工程师的设计之路
难得休息两天,回到工作,第一件事情是给媳妇帮忙翻译论文,而手头上的事情虽然充满着挑战,当总体还是应付的过来。由于很长一段的时间都是直接给国外做支持工作,对比国内项目的管理,让我生出了一种感受,同样的方法,同样的流程,到了我们这里一样成为南橘北枳。几乎我知道的制造业的公司都采用矩阵化管理,由部门经理和项目经理共同管理工程师团队,一般将硬件,系统,软件,测试,结构等工程师,从部门经理管理人员,评估,整...
eeleader 工作这点儿事
电梯的RFID控制
[i=s] 本帖最后由 dontium 于 2015-1-23 13:18 编辑 [/i]RFID的与电梯的控制与运行是否有比较好的解决方案求高手支招...
wodejiushinide 模拟与混合信号

 
EEWorld订阅号

 
EEWorld服务号

 
汽车开发圈

About Us 关于我们 客户服务 联系方式 器件索引 网站地图 最新更新 手机版

站点相关: 国产芯 大学堂 TI培训 Datasheet 电子工程 索引文件: 575  704  821  1353  1449 

器件索引   0 1 2 3 4 5 6 7 8 9 A B C D E F G H I J K L M N O P Q R S T U V W X Y Z

北京市海淀区中关村大街18号B座15层1530室 电话:(010)82350740 邮编:100190

电子工程世界版权所有 京B2-20211791 京ICP备10001474号-1 电信业务审批[2006]字第258号函 京公网安备 11010802033920号 Copyright © 2005-2024 EEWORLD.com.cn, Inc. All rights reserved