-
一、LabVIEW Interface for Arduino Toolkit简介 LabVIEW Interface for Arduino Toolkit是NI公司(美国国家仪器公司)为Arduino开发的接口工具包,借助于这个工具包,可以很方便地使用LabVIEW软件与Arduino控制板实现交互设计。把这个工具包和LabVIEW软件结合起来,通过LabVIEW软件就可以实现对Arduino...[详细]
-
全球领先的通信技术及服务提供商爱立信宣布已于2012年11月9日在韩国新设东北亚区LTE语音(VoLTE)能力中心。 LTE是移动通信历史上发展速度最快的系统,截至目前为止,全球LTE网络已经覆盖了4.55亿人口。起初被设计为数据网络的LTE经历了快速的演进,目前已同样支持语音业务,这种业务被称为LTE语音(VoLTE)。运营商们正在加快部署基于LTE的全IP接入网,并踊跃地将语音和短信业...[详细]
-
什么是SYSTICK: 这是一个24位的系统节拍定时器system TIck TImer,SysTIck,具有自动重载和溢出中断功能,所有基于Cortex_M3处理器的微控制器都可以由这个定时器获得一定的时间间隔。 作用: 在单任务引用程序中,因为其架构就决定了它执行任务的串行性,这就引出一个问题:当某个任务出现问题时,就会牵连到后续的任务,进而导致整个系统崩溃。要解决这个问题,可以使用实时...[详细]
-
预计到2025年,全球 无人驾驶 汽车市场规模将达到420亿美元;2030年,将有1.2亿辆 无人驾驶 程度不同的汽车上路;2035年, 无人驾驶 汽车将占全球汽车销量的四分之一。下面就随汽车电子小编一起来了解一下相关内容吧。 在快速增长的市场中,能否为无人驾驶提供从车到云的端到端技术服务,将成为赢取无人驾驶市场的关键因素。因此,越来越多的汽车制造商转向包括 英特尔 在内的领先科技公...[详细]
-
青岛中星微电子集团近日发布其成功研发的嵌入式CPU桥片“星光青桥一号”芯片,并表示该产品已占据CPU桥片新技术领域的世界前沿,为“中国芯”在国际上赢得了更多的话语权。 据悉,“星光青桥一号”产品是世界上第一枚单晶片、高集成、低功耗,专门用于新一代嵌入式CPU低功耗计算系统的信号拓展互联桥片,具有完整知识产权,可实现CPU信号处理功能的延伸,用于电视、游戏、车载等领域的多路多媒体音视频采集...[详细]
-
特斯拉多位员工透露Model 3将继续延迟交付,谈到的问题包括: CEO Elon Musk早前已经因为生产上的瓶颈,不得不延迟Model 3的交付,尽管这让一些迫不及待的顾客感到失望,而 Gigafactory 的员工透露,这次Elon Musk不得不再让大家失望一次。 Tesla员工称从12月中起,公司就部分以手工来生产Model 3的电池。 员工同样也说Model 3的质量控制...[详细]
-
网易科技讯 12月19日消息,据国外媒体报道,在最近一个季度中,黑莓(BlackBerry)将自己从一家智能手机制造商转型成一个软件公司的努力获得了动力,这一利好消息在多伦多股市将该公司股价截至本周五收盘推高了10%。
这家加拿大公司正在努力将向企业和政府销售软件作为自己的核心业务,现在该公司在智能手机市场已经变成一个没有什么份量的小角色,而它曾是智能手机市场的最早开拓者之一,但现在这...[详细]
-
简介:CPLD与AVR通信PWM控制程序 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity KBCtest is port( rst,clk:instd_logic;--时钟和复位信号 --...[详细]
-
北京时间8月17日消息,据国外媒体报道,根据本周提交给美国证券交易委员会(SEC)的一份文件显示,苹果在今年7月与移动安全公司AuthenTec达成授权协议,苹果将以3.56亿美元的价格收购AuthenTec。目前,我们尚不清楚苹果购买AuthenTec公司的真实意图,或许未来苹果iOS系统将整合AuthenTec技术,有可能在未来的苹果产品中引入2D指纹传感器技术。现在,这笔交易仍需得到Au...[详细]
-
适合医疗设备、游戏机、工厂自动化、POS系统及行动装置应用 2007年8月 日,北京讯 产业应用平台供货商-凌华科技推出低功耗且符合ETX 3.02修正版规格的嵌入式模块ETX-GLX。ETX 3.02修正版为了可以完全兼容于ETX 2.X版本,特别增加两个SATA端口连接器,因此目前ETX载板并不需要经过任何修正即可支持新的SATA储存功能。凌华科技ETX-GLX低功耗、中端效能表现...[详细]
-
2013 年 3 月 4 日,北京讯 日前,德州仪器 (TI) 宣布推出业界首款面向绝缘栅双极晶体管 (IGBT) 与碳化硅 (SiC) FET 的 35 V 单通道输出级电源管理栅极驱动器。TI 支持拆分输出的最新 UCC27531 与 UCC27532 输出级栅极驱动器可为隔离式电源设计提供最高效率的输出驱动功能、最低的传播延迟以及更高的系统保护力,以充分满足太阳能 DC/AC 逆变器、不间...[详细]
-
2007年,刘成还在做商务旅行的生意,满世界跑。刘成说,那时候出国的有钱人,“去一趟瑞士,没有不买手表的,客户的人均消费过百万。”
现在,出国不仅仅是旅游或者购物那么简单。刘成找到了一个新金矿--为那些有支付能力的中国人服务,到瑞士去做具有抗衰老疗效的“羊胚胎素”项目。这个“瑞士抗衰老之旅”,价格在30万—60万元人民币之间。刘成现在的身份是莱蒙国际医疗健康服务中心...[详细]
-
2月23日消息 中国联通与阿里巴巴集团在北京签署了战略合作协议,正式宣布双方在基础通信服务领域、互联网及基于WCDMA制式的3G无线互联网增值业务领域全面开展深度合作。
中国联通董事长常小兵,阿里巴巴集团董事局主席兼首席执行官马云共同出席了签约仪式。中国联通高级副总裁姜正新与阿里巴巴集团首席架构师兼阿里云总裁王坚代表双方在战略合作协议上签字。
常小兵表示,阿里巴巴一直是中国联通亲密的...[详细]
-
在便携设备的背光源中,通常采用降压转换器后接一个推挽逆变器(Royer逆变器)的方法,但其效率低,器件数量多。本文讨论了一种基于压电变压器的高效背景光供电解决方案,采用UCC3977(推挽控制器)和压电变压器EFTU11RoMX50和EFTU18R0Mx50来实现。
最近,PDA、互联网手持设备和笔记本电脑等便携设备的发展速度非常快,这进一步提高了市场对小尺寸冷阴极荧光灯(CCFL)背景光转...[详细]
-
宾夕法尼亚、MALVERN — 2013 年 9 月26 日 — 日前,Vishay Intertechnology, Inc.(NYSE 股市代号:VSH)宣布,推出用于安全认证应用的新系列表面贴装多层陶瓷片式电容器(MLCC)--- VJ Safety。该系列器件采用C0G(NP0)和X7R电介质,每款器件提供X1/Y2和X2安全分级,电压达到250VAC。 今天发布的Vis...[详细]